EDA技术课程教学改革研究

时间:2020-08-06 19:28:36 职称论文 我要投稿

EDA技术课程教学改革研究

  论文导读:技术是以数字电子技术课程知识为基础。采用项目化教学方法。课程改革思路。

EDA技术课程教学改革研究

  关键词:EDA技术,项目化教学方法,课程改革

  EDA技术是以数字电子技术课程知识为基础,具有较强实践性、工程性的专业课程。将数字电路设计从简单元器件单元电路设计,EWB软件仿真提到了更高一级的可编程操作平台上,进一步巩固和提高学生电子电路综合设计能力。但是,传统的教学模式是将两门课程分开,先上数字电路,后上EDA技术,分两学期授课。这样的教学模式存在弊端,减弱了课程之间的联系,降低了学生对数字电路理论的认识程度。通过对EDA技术课程的教学改革,以实训的方式采用项目教学法,使学生在较短的时间内掌握EDA技术基础及其实验系统,从数字系统的单元电路,如译码器、计数器等入手,加深对数字电路基础理论的认识,逐渐完成数字系统设计。

  1. EDA技术及其在教学中的应用

  1.1 EDA技术

  EDA技术即电子设计自动化(Electronic DesignAutomation)是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果而形成的一门新技术毕业论文格式,是一种能够设计和仿真电子电路或系统的软件工具。采用”自顶向下”的层次化设计,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。图1为一个典型的EDA设计流程。


  图1 EDA设计流程图

  1.2 EDA技术在教学中的应用

  在教学过程中,EDA技术利用计算机系统强大的数据处理能力,以及配有输入输出器件(开关、按键、数码管、发光二极管等)、标准并口、RS232串口、DAC和ADC电路、多功能扩展接口的基于SRAM的FPGA器件EDA硬件开发平台,使得在电子设计的各个阶段、各个层次可以进行模拟验证,保证设计过程的正确性。从而使数字系统设计起来更加容易,让学生从传统的电路离散元件的安装、焊接、调试工作中解放出来,将精力集中在电路的设计上。同时,采用EDA技术实现数字电路设计,不但提高了系统的稳定性,也增强了系统的灵活性,方便学生对电路进行修改、升级,让实验不在单调的局限于几个固定的内容,使教学更上一个台阶,学生的开发创新能力进一步得到提高。

  2.课程教学改革实施

  2.1课程改革思路

  课程改革本着体现巩固数字电路基础,掌握现代电子设计自动化技术的原则来处理和安排EDA技术教学内容。打破传统的从EDA技术概述、VHDL语言特点、VHDL语句等入手的按部就班的教学方法,以设计应用为基本要求,开发基于工作过程的项目化课程,以工作任务为中心组织课程内容,让学生在完成具体项目的过程中来构建相关理论知识。将EDA技术分为四个方面的内容,即:可编程逻辑器件、硬件描述语言、软件开发工具、实验开发系统,其中,可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。采用项目化教学方法,以实训的方式展开,让学生在“学中做,做中学”。

  2.2课程改革措施

  以电子线路设计为基点,从实例的`介绍中引出VHDL语句语法内容。在典型示例的说明中,自然地给出完整的VHDL描述,同时给出其综合后的表现该电路系统功能的时序波形图及硬件仿真效果。通过一些简单、直观、典型的实例毕业论文格式,将VHDL中最核心、最基本的内容解释清楚,使学生在很短的时间内就能有效地掌握VHDL的主干内容,并付诸设计实践。这种教学方法突破传统的VHDL语言教学模式和流程,将语言与EDA工程技术有机结合,以实现良好的教学效果,同时大大缩短了授课时数。表1为课程具体内容及实训学时分配。

 

能力

目标

学习情境

项目载体

课时

QuartusⅡ开发工具使用能力

QuartusⅡ开发环境、实验系统

二选一音频发生器设计

6

VHDL语言编程能力

VHDL语言基本结构

计数器电路设计

6

VHDL语言并行语句

8位加法器设计

8

VHDL语言顺序语句

7段数码显示译码器设计

8

VHDL语言综合运用

数控分频器的设计

8

层次化调用方法

4位加减法器的设计

4

综合开发调试能力

8位16进制频率计设计;

十字路口交通灯设计;

数字钟设计;

波形信号发生器设计,等。

(任选一题)

20

总计


 

60

 

 

 

 

 

 

 

 

 

 

 

   表1 课程具体内容及实训学时分配

  从EDA技术开发工具和实验系统介绍入手,帮助学生在感性上对EDA技术产生一定的认识,再分别以不同的项目为载体学习VHDL语言,让学生快速掌握EDA技术的基本内容。最后,充分发挥学生的主观能动性和创造性,综合运用所学知识完成简单集成电路的设计,培养学生获取知识的能力。

  3.结束语

  EDA技术课程在高校电子类专业教育中发挥着不容忽视的作用,EDA技术已经成为电子行业领域开发和进行科学研究所需要的基本技能。通过对EDA技术课程教学改革,提高了学生电子设计的综合能力,培养了学生主动获取知识,灵活运用知识的能力。促进了信息技术与学科课程的整合,逐步实现教学内容的呈现方式、学生的学习方式、教师的教学方式和师生互动方式的变革。

  【参考文献】

  [1]顾斌赵明忠姜志鹏等,数字电路EDA设计[M],西安:西安电子科技大学出版社,2004;

  [2]潘松黄继业,EDA技术与VHDL(第2版) [M],北京:清华大学出版社,2007;

【EDA技术课程教学改革研究】相关文章:

EDA技术零基础入门课程大纲07-28

EDA技术课程设计说明05-12

eda技术概述11-15

EDA技术概念05-15

EDA技术简介12-06

木工程材料课程教学改革研究08-09

市场营销课程教学改革研究09-24

EDA技术与实践05-09

EDA技术与应用11-15

EDA技术的概念11-13