QDRII SRAM控制器的设计与FPGA实现

时间:2023-03-30 20:25:10 通信工程毕业论文 我要投稿
  • 相关推荐

QDRII SRAM控制器的设计与FPGA实现

全部作者: 侯晓凡 第1作者单位: 北京邮电大学 论文摘要: 本文介绍了QDRII SRAM存储器标准的产生背景,详细讨论了其工作方式,接口时序和在不同应用环境下采用的时钟方案,并给出了利用FPGA来设计QDRII SRAM控制器的方法。 关键词: QDRII SRAM, FPGA, 控制器 (浏览全文) 发表日期: 2007年04月19日 同行评议:

(暂时没有)

综合评价: (暂时没有) 修改稿:

【QDRII SRAM控制器的设计与FPGA实现】相关文章:

都市频道制作网的设计与实现05-29

城市旅游网站的设计与实现论文(精选6篇)05-07

适应实时多任务的微控制器高效指令支持05-29

基于minigui的网真机界面的实现08-05

嵌入式系统以太网卡控制器LAN91C9605-30

利用电力线实现住宅智能化06-13

如何通过内部控制实现企业持续性发展05-21

企业法律风险防范体系建立的原因及实现路径08-06

构筑企业数据中心 实现理性分析决策06-04

企业知识管理的实现技术和工具的电商论文05-02