一种基于USB的快速数据传输系统设计

时间:2020-09-22 14:07:23 计算机毕业论文 我要投稿

一种基于USB的快速数据传输系统设计

     摘  要:文章介绍了一种利用基于USB的快速读数系统的设计方法。该系统利用CY7C68013实现,文章给出了系统的硬件设计方案、FPGA内部集成FIFO的软件实现方法以及GPIF与FLASH握手信号的设计。通过此USB数据传输模块,实现了FLASH存储器与计算机之间的数据高速传输。应用结果表明,此数据通信系统是可靠有效的,具有一定的通用性,可用于其它类似的测试存储系统中。
        关键词:USB;GPIF;数据传输;FPGA
        目前计算机的有线数据传输方式主要有串口、并口和USB三种。串口最高传输速度仅为115200bit/s。并口传输的模式有两种,速度最快的EPP模式其传输速度约为两百K。而USB方式中数据以包的形式进行传送,工作模式分低速、全速和高速,低速的传输速度为1.5M/S,全速约为12M/S,高速可达480M/S。面对今天容量日益庞大的存储系统,使用USB技术无疑将大大提高系统读数速度。随着嵌入式系统的发展,将USB硬件收发器、SIE串行接口引擎等USB硬件集成在一个单片机芯片上,简化USB协议,为我们使用USB设计读数模块提供了可能。
本文USB传输系统采用微处理器CY68013实现。文章给出了此数据传输系统的硬件设计方案,着重介绍了FPGA控制模块中内部集成FIFO的实现方法,以及GPIF与FLASH通信时握手信号的设计方案。
        1系统硬件方案设计
        CY68013 是Cypress公司推出的 EZ-USB FX2系列产品之一,内部集成了 USB 2.0 收发器、智能串行引擎(SIE)、增强型8051控制器、通用可编程接口(GPIF)、8.5KB的RAM 和FIFO存储器,最大限度的满足了USB2.0的总线带宽。FX2最常用的数据传输方式就是GPIF。GPIF是一种快速的数据传输方式,时序简单。它可以很容易的从RAM中读取数据。但是,由于FLASH存储器的读数操作是以页为单位,读数时页与页之间有一小段时间间隔,数据输出不是连续的'。为解决此问题,实现FX2GPIF方式读取FLASH中的数据,需要使用FIFO作为数据过渡,先将FLASH中的数据读到FIFO中,USB控制器再从FIFO中读取数据。
        2FPGA内部集成FIFO的软件设计
        2.1内部集成FIFO的结构
        FIFO的本质是一种特殊的双口RAM,由于大部分FPGA内部就有双口RAM资源。因此在这里选用合适的FPGA芯片来编写FPGA内部集成FIFO。FIFO结构的特点是先进先出,对外它是一种没有地址控制的特殊缓存。只要给一个FIFO提供读、写使能信号就能使其工作,不同的是FIFO对外不显示地址,只是给出表现内部状态的满、半满和空信号,用户根据这些信号对FIFO进行操作。
        FIFO设计时最重要的就是怎么给出这些信号。本设计中FIFO产生满、半满以及空状态标志信号采用如下方法:用读地址和写地址相减结果来判断FIFO的满空状态;此外还可以据此得到FIFO的半满状态信号。这种方法可以容易的控制FIFO满、半满、空的深度。
        2.2FIFO标志信号的产生
        FIFO满、空、半满标志信号的生成过程是一样的,只不过判断比较的差值不一样。FIFO满时差值为1023,空为1。为了避免时序错误,还可以设定一定的满空深度。例如,当地址差大于1000时认为FIFO已满,不再向FIFO中写入数据,这样可以避免错误的出现。
        3GPIF与FLASH握手信号设计满、空信号主要是在读数时使用,用作GPIF与FLASH读数时的握手信号,以保证读数的稳定可靠。读数时数据要先存到FIFO中,然后USB再从FIFO中读取数据。对于FIFO来说,读取和写入的速度是不同的,可能读比写快,也可能写比读快。因此,我们需要设计读数握手信号,以防读数时丢失数据。信号的握手过程是:对于读数控制模块来说,只要FIFO不满它就向FIFO中写入数据。对于FX2GPIF来说,